APP下载

布局光刻机到底需要补齐哪些短板?

2021-08-14

新潮电子 2021年7期
关键词:光刻机芯片领域

经历了“芯片荒”与“技术封锁”的双重Debuff,芯片产业是否"自主、安全、可控”一跃成为广泛关注的话题之一。在如此大背景下,华为旗下哈勃投资入股科益虹源的操作,被认为是布局光刻机的一步迈进;而诸如SMEE(上海微电子装备)的进度、整个光刻机领域目前存在的短板,也成为焦点中的焦点。

“半导体皇冠上的明珠”

在当下,“光刻机”作为关键词出现时,往往自带各种前缀,比如“半导体产业皇冠上的明珠”,再比如“卡住中国芯片脖子的关键”。这些修饰语无不展示了光刻机在芯片领域的地位之高,而因为头部效应,占得先机的大佬对于后来者的压制可以说是压倒性的。光刻机原文“Mask Aligner”,直译为掩模对准曝光机,在半导体生产中占据无可置疑的核心地位。据统计,在整个芯片制造工艺中,光刻部分占据了成本的35%以上。

有人以“照片冲印”来类比它的工作原理——令光束穿过掩模和光学镜片,将事先设计的线路图曝光在带有光刻胶的硅晶圆上;光刻胶与光反应完成“印制”,再通过沉积、蚀刻等工序,架构出芯片的布线。

光刀的操作精度越高,意味着能够在单位面积芯片上完成更精密的布线,由此取得更高的效率和更低的能耗。当然并不是一切芯片的标准都是越精密越好,毕竟除了轻小高效之外还有可靠性等其他指标;然而在"最容易变现”的消费电子领域,这却是不折不扣的正确方向。例如被称为“华为绝唱”的麒麟1020(后更名为麒麟9000),使用5nm制程,每平方毫米容纳的晶体管数量高达1.713亿,这就是Mate 40受到追捧的底气之一。

SMEE董事长贺荣明曾经打过一个比方:(精度要求)相当于两架飞机同时起飞,飞行过程中从一架飞机上伸出一把刀,在另一架飞机上米粒大小的范围内刻字,不能刻坏。

来自大佬的壁垒

要造出高精度光刻机,对于数学、光学、材料、流体力学、机械自动化等领域的水准要求很高,而且是“都”很高——这是个典型的木桶问题,只要有一块板不够长便会导致全桶装不满水。目前光刻机领域真正的大佬只有荷兰ASML一家,手头掌握着全球高端市场的九成,是不折不扣的霸主。日本Nikon和Canon虽然名次上紧随其后,但市占率已经拉开了相当悬殊的差距。而国内以光刻机为主攻方向的上海微电子装备(SMEE),以产品定位来划分的话尚属低端。

从Nikon和Canon这两个品牌也不难看出光学积淀的重要性——对于大部分电子产品消费者来说,这两个品牌更广为人知的产品是相机。实际上,在EUV专利数量排名中居首位的卡尔蔡司同样是靠着镜头打出品牌知名度的大牌它恰恰又是ASML的投资对象和长期战略伙伴。

由此,ASML倚靠最新的核心技术独占了产品序列树上最高的位置,专利与市场形成多重壁垒,大幅增加了竞争者的追赶难度。

据称,ASML公司曾经放出豪言:哪怕把我们家EUV光刻机的图纸即刻公之于天下,大部分国家照着图你也造不出来。说出这话倒也并不是ASML飘了,它的高端EUV光刻机的确也并不是一个国家的底子就能撑得起来的——其内含零部件以十万数量级起跳,来自数千家不同的供应商,而这些供应商既有德国的,也有美国、日本的,大部分代表着各个领域的最高技术水准。当然,组装校准也不是泛泛之作,ASML每年收入的15%都砸进这个领域的研发里了,当前最先进的EUV光刻机光是安装调试就需要超过一年的时间。

基于以上原因说ASML的光刻机是“整个西方世界数十年科技的积累和結晶”并非夸大之语,很多环节不但要钱要人还要时间,不是件可以速成的事。换句话说,一旦遭遇制裁禁运,哪怕是ASML也会直接面临一台光刻机也产不出来的境况。

可以不用,不能没有

这也就是我国光刻机面临的最大悖论了——如果完全按市场规律办事,另起炉灶、绕开已有专利重新研制光刻机并不见得就是性价比最高的选择,我们也并没有一口就把全部收益都吞下去的野望,大家各有所长、各司其职,一起赚钱不香吗?但是,某些国家它不让啊!

如果仅仅就商业而论,被简称为买办的“造不如买,买不如租”策略,并不能简单地用正确或错误来定论,更恰当的评价是没有远见只顾短期、对潜在风险缺乏认知。一旦对方祭出市场规律之外的“盘外招”之后会发生什么,台积电对华为断供和ASML在出口光刻机问题上的频频作妖已经展现得很充分了。实际上,类似打压针对的并不仅限于我国。1997年,美国政府牵头成立HI联盟,除了国家能源部、三大实验室之外,英特尔、摩托罗拉、IBM、AMD等大公司悉数在列。记住这个联盟,正是它在后来ASML扛不住研发无底洞的时候吸纳了它加入,英特尔、台积电、三星还直接对它投资输血,相当于结成了利益共同体。

而当时同属西方阵营、也拥有光刻机技术积淀的Nikon和Canon却双双被EUV LLC拒之门外,未必不是八十年代美日半导体之战与《半导体协议》的后遗症。此后,即使英特尔出于防范ASML一家独大的目的而分出订单养着Nikon,日本这两家的光刻机也仍旧没能逃脱被远远甩开的命运。

由此可以看出“自力更生”在商业领域真正的意义——并不是纯理想主义的“不食嗟来之食”,反而是极其现实的“不惮以最大恶意揣度对手”。在其他领域,反复上演的套路已经堪称车载斗量——以封锁进行科技压制,榨取最高的产品附加值;将知识产权无限拔高打造成政治正确,以此掩盖其客观上阻碍全人类发展的副作用;一旦某国攻破技术难关,立刻解除技术封锁并将对标商品降价,捞最后一笔的同时也意图挤垮新生的竞品。

总结来说,套路无非两句话——你有我没有,遵循自由市场;我有你没有,不好意思,高价和禁运这就来了。

我国光刻机的现状

现在回到我国光刻机的现状上来:布局做了吗?做了,从2006年的“02专项”就开始了。那现在赶上了吗?很遗憾还没有,而且差距不小。如前所述,光刻机是多个科技领域的成果结晶,我国在不少领域仍处于研究阶段,距离商用道阻且长。如果外部环境允许,很可能还会再猥琐发育一段时间,现在不得不提速早产,某种意义上也是被倒逼的结果——“可以不用,不能没有”。

如前所述,上海微电子装备的主攻方向是光刻机整机制造目前业界大佬ASML的EUV光刻机已用于7nm制程试产,而SMEE目前最好的量产产品为加工90nm芯片的SSA600/20光刻机,技术差距至少一代(15年)。

光学系统方面,原本由中科院长春光机所牵头成立国科精密,2002年研制国内首套EUV光刻原理装置,2017年“极紫外光刻关键技术”项目通过验收。2019年,中科院转向与北京市政府合作,长光所、上光所各自以知识产权入股组建了新公司北京国望光学,NA0.75光刻机曝光光学系统已经通过验收,满足90nm级ARF干式光刻机的需要,而NA1.35级正在路上。

近期和华为扯上关系的科益虹源,成立时的出资方中包括中科院微电子所,负责为SMEE提供光源系统。此前已交付了40W光源样机为世界第三家具备193nm A人F准分子激光技术并产品化的公司。但主流浸没式光刻机通常需要60W级别的光源,因此仍有关卡需要攻克。

EUV光刻机具有两个功能结构一致的工件台,轮流互换位置以提高曝光效率。相关的双工件台系统由清华大学团队在2014年交出样机,随后即依托该技术成立华卓精科推出商用光刻机双工件台产品。2019年4月该项目通过验收,成为02专项中光刻机项目下首个完成的子项,我国也因此成为世界第二个掌握此技术的国家。

依托浙大研发团队的启尔机电已推出可用于最高11nm制程的光刻机液浸系统,研发和试产基地已经建完。此外,哈工大负责的DPP-EUV 光源已接近12W的水准,此项目用于支持长光所的极紫外光刻机验证机。蚀刻机、光刻胶等子项也各有负责方攻关,部分已经获得突破。以上这一串名单中,出现了我国若干理工类名校的身影;另一方面,部分学校也是美国各类“限制清单”中的常客。光刻机领域的封锁与破局之对立,从这个细节也可见一斑。

任何一项技术发展要提速,产研结合都是极为有效的刺激手段。美国发动贸易战的目标虽然志不在此,客观上却促进了资本加速流向国内芯片产业链。华为一直出钱出人在中芯国际搞支撑,去年中芯国际搞出中芯京城,一年两扩28nm,也是个相当明确的信号。

写在最后:

总结来说,半导体领域冲突难免迟早必有一战,而且因为在技术上不占优势,我国并不具备选择战还是不战的权力。光刻机是战略层面的主攻方向,劣势在于专利壁垒、技术封锁,目前各个子项目往往都刚刚解决“从无到有”的问题,差距仍需追赶。不那么糟糕的消息则是早有布局、并非一穷二白措手不及,此外,不在国外技术上吊死不等于主动拒绝国外技术,重复别人走过的路还是有可能获得一些利好的。再次,我们还有“全球设备支出最高”的市场作为后盾。

至于明面上还是个荷兰企业的ASML,5月份时他家CEO彼得·维尼克曾经两度表达过“我还是希望能卖东西赚钱”的意思——5月初在白宫半导体CEO峰会后,此人表示如果禁止中国买光刻机“15年内他们将做出所有东西”(真巧,国内广泛认知SMEE相较ASML的技术差距也正好15年);到了5月底,这个时间段直接快进到了三年之内……

当然,ASML公司CEO這么说也许是为了麻痹甚至捧杀,甚至不排除单纯是对白宫卖怪“我们牺牲了大单”的信号。然而换到我国自己的立场,客观局势并不会有本质改变:进口渠道已经断绝,除了加速追赶之外并无第二条路可走。

猜你喜欢

光刻机芯片领域
中国光刻机崛起之路
光刻机打破ASML垄断还要多久?
核桃上雕船不稀奇头发丝上能刻一个足球场!
领域·对峙
芯片测试
多通道采样芯片ADS8556在光伏并网中的应用
双面光刻机运动控制系统设计分析
新常态下推动多层次多领域依法治理初探
74HC164芯片的应用
肯定与质疑:“慕课”在基础教育领域的应用