APP下载

半导体光刻技术与专利之争

2021-12-13曹欣欣

电脑报 2021年46期
关键词:光刻机工序半导体

曹欣欣

阿斯麦的崛起

苹果自研芯片的实力大家有目共睹,从移动端使用的A系列到电脑端用的M系列都是碾压同期竞品的存在。近期有报道称,苹果计划最快于2023年推出由台积电代工的3nm Mac芯片,也就是第三代Apple Silicon芯片,内部代号分别为“Ibiza”、“Lobos”以及“Palma”。在半导体制造中,3纳米工艺是继5纳米MOSFET技术节点之后的下一个技术。三星和台积电已宣布计划将3nm半导体节点投入商业生产,它基于GAAFET(全能栅极场效应晶体管)技术,这是一种多栅极MOSFET技术。

在半导体晶圆(基板)上烧制出电路的光刻工序是半导体制造过程中最为重要的工序之一,所以近年来也成为了科技公司争夺的“技术高地”。

光刻设备由以荷兰为大本营的阿斯麦(ASML)掌握压倒性份额,据Bloomberg数据,全球五大半导体设备制造商分别为应用材料(AMAT)、阿斯麦(ASML)、东京威力科创(TEL)、科林研发(Lam Research)、科磊(KLA),这五大半导体制造商以其领先的技术、强大的资金支持占据着全球半导体设备制造业超过70%的份额。

1984年,电子巨头飞利浦和芯片机器制造商(ASMI) 创建了一家新公司AMSL,目的是为了满足不断增长的半导体市场而开发光刻系统。当时办公室尚在母公司的空地一旁的木屋内,仅有百余人陆续加入,同年推出第一个系统——PAS 2000步进机。

工程师在ASML一培训中心使用 EUV 光刻机

到了1988年,飞利浦在中国台湾成立合资代工厂后,ASML开始进军亚洲市场。ASML并非一帆风顺,在上世纪八九十年代也经历过倒闭危机。几番挣扎,得到IPO的投资后,推出了突破性平台PAS 5500,才得以翻身。1995年ASML 成为一家完全独立的上市公司,在阿姆斯特丹和纽约证券交易所上市。

2010年第一台极紫外 (EUV) 光刻工具原型 (NXE:3100),标志着光刻新时代的开始。EUV 光刻使用较短波长的光来制造更小的芯片,从而产生更快、更强大的芯片。2021年,ASML已经成为当仁不让的霸主。年交付量预估会达到45台到50台。目前ASML出货的光刻机主要是NXE:3400B及改进型的NXE:3400C,两者基本结构相同,但NXE:3400C采用模块化设计,维护更加便捷,平均维修时间将从48小时缩短到8~10小时,支持7nm、5nm。

光刻机的痛点和难点

从技术上看,光刻(lithography)设备本身是一种投影曝光系统,由紫外光源、光学镜片、對准系统等部件组装而成。在半导体制作过程中,光刻设备投射光束,穿过印着图案的光掩膜版及光学镜片,将线路图曝光在带有光感涂层的硅晶圆上。通过蚀刻曝光或未受曝光的部分来形成沟槽,然后再进行沉积、蚀刻、掺杂,架构出不同材质的线路。

此工艺过程将数十亿计的MOSFET(金氧半场效晶体管)或其他晶体管建构在硅晶圆上,形成一般所称的集成电路。光刻工艺在整个芯片制造过程中至关重要,其决定了半导体线路纳米级的加工度,对于光刻机的技术要求十分苛刻,对误差及稳定性的要求极高,相关部件需要集成材料、光学、机电等领域最尖端的技术。因而光刻机的分辨率、精度也成为其性能的评价指数,直接影响到芯片的工艺精度以及芯片功耗、性能水平。

光源的改进让光刻机经历了四五代,到了28nm工艺节点之后,单次曝光图形间距已经无法进一步提升,业界开始采用Multiple patterning(多次曝光和刻蚀)的技术来提高图形密度但由此引入的掩膜使得生产工序增加,导致成本大幅上升,且良品率也无法保障。

沉浸式光刻在7nm之后的下一代工艺节点,难以再次发展,EUV(极紫外光刻)成为了解决这一问题的关键,目前EUV光刻机光源主要采用的办法是将准分子激光照射在锡等靶材上,激发出13.5nm的光子,作为光刻机光源。

各大制造厂在7nm以下的最高端工艺上都会采用EUV光刻机,其中三星在7nm节点上就已经采用。而目前只有ASML一家能够提供可量产的EUV光刻机,国内的光刻机技术从20世纪70年代开始就先后有清华大学精密仪器系、中科院光电技术研究所、中电科45所投入研制,目前国内厂商只有上海微电子(SMEE)及中国电科(CETC)旗下的电科装备,其中SMEE目前量产的性能最好的为90nm(193 ArF)光刻机,与国际水平差距较大。

即便各家对光刻机的探索进度不一,这项技术的极限已经快到了,因为硅这种材料的极限在1纳米左右,想要超越1纳米,那就得换材料,但是目前已经发现的材料中,没有比硅更适合的。超越1nm很难,那么达到1nm呢?目前当芯片内部线宽窄到3纳米,电路中用于导电的铜线之间的间距太小,就会发生短路。

光刻前后处理领域的专利

除了光刻机技术的发展,完成半导体芯片制造的流程中,还存在不同专利,也是当下中国光刻机发展必须要关注的。半导体的制造工序分为:在圆形基板上制造大量电路的前工序和把基板上形成的电路一个个切割为片状后进行组装的后工序。

在光刻的前后处理领域,我们的邻国日本发挥了比较稳定的优势。从2006~2018年累计数据来看,在4万2646项申请中有1万8531项(占比43.5%)的申请者为日本国籍,大幅超过7000多项的韩国和美国。

另一方面,按申请者的国家和地区来看,2012年在美国进行的专利申请超过日本,之后美国一直维持首位。从2018年进行的专利申请来看,美国之后是中国大陆、中国台湾和韩国。有分析认为,这导致了日本占世界半导体生产的份额下降等问题。日本在光刻设备领域落后于ASML,但拥有前后处理技术的日本半导体制造设备企业在世界上保持着较高存在感。不仅是制造设备,在光刻胶等原材料领域,实力尚存。

从单个申请者来看,东京威力科创(TEL)每年稳定申请400项左右,2006~2018年累计达到5196项,占整体的12.1%。东京威力科创(TEL)在向半导体基板上涂布光刻胶、利用光刻设备烧制电路之后显影的涂布显影设备领域,掌握超过80%的全球份额。

在日本企业优势突出的光刻前后处理技术领域,其他企业也在加速追赶。最大半导体代工企业台积电(TSMC)的专利申请数量近年来出现激增。在中国大陆企业中,半导体代工企业中芯国际集成电路制造(SMIC)近年来申请数量为250项,闯入前10位。世界半导体制造设备协会(SEMI)的预测显示,2021年的半导体制造设备市场规模将比2020年增长34%,增至953亿美元,到2022年有望超过1000亿美元。

光刻设备的前后工序包括光刻胶(感光树脂)的涂布、显影和剥离等工序

猜你喜欢

光刻机工序半导体
修铁链
中国光刻机崛起之路
光刻机打破ASML垄断还要多久?
中国光刻机崛起之路
核桃上雕船不稀奇头发丝上能刻一个足球场!
全球半导体行业1月份 销售额同比略有下滑
安森美半导体收购Quantenna COmmunications
中国半导体产量将占世界2成
减少无效工序提高作业效能的认识与方法
电缆行业成本核算中原材料损耗算法分析