APP下载

基于VHDL语言的双口RAM设计

2020-07-14段燕

科教导刊·电子版 2020年10期

段燕

摘 要 VHDL用来描述数字逻辑系统的“编程语言”,与其他硬件描述语言相比,它功能强大且设计灵活有强大的系统硬件描述能力,易于共享和复用。它是设计较大规模的电子系统的重要保证。本文在QuratusII的工作平台上,运用VHDL语言实现对双口RAM的设计并进行模拟仿真。

关键词 VHDL语言 双口RAM QuratusII

1 VHDL程序基本结构

VHDL设计时主要包括三部分:

(1)库LIBRARY、程序包PACKAGE调用,调用格式如下:

LIBRARY 库名

USE 库名.程序包名.项目名

(2)实体。定义一个元件的输入输出管脚,具体元件内部电路则由结构体中描述语句表述。

(3)结构体。描述一实体内部电路的连接关系或逻辑功能。

2双口RAM存储结构

双口RAM通常由四部分组成:存储体(存储矩阵)、地址译码器、读写控制器和仲裁器。其存储结构如图所示:

3 VHDL实现双口RAM设计程序

4 QuartusII中的仿真结果

当R_EN和W_EN给1;R_CLK的脉冲时间和W_CLK的脉冲时小于D_IN随机数的变化时间时,得到如下仿真图:

5结语

RAM是与CPU直接交换数据的内部存储器。它可随时读写,且速度快,通常作为操作系统或其他正在运行中的程序的临时数据存储媒介。双口RAM有两套完全独立的数据线、地址线和读写控制线,允许两个独立的系统同时对该存储器进行随机性的访问,以此提高RAM的吞吐率。

参考文献

[1] EDA技术与VHDL(第5版)[M].北京:清华大学出版社,2017.

[2] 曾繁泰,曾祥云.VHDL程序设计教程(第四版)[M].北京:清華大学出版社,2014.