APP下载

基于FPGA的DDS正弦波的设计和实现

2019-09-24李义杨自恒刘爽杨培宇

无线互联科技 2019年11期
关键词:正弦波

李义 杨自恒 刘爽 杨培宇

摘   要:FPGA憑借其高速的数据处理速度,如今在电子通信和信号处理领域得到了广泛的应用,并已成为通信仪器和设备的首选方案。另外,由于DDS频率转换时间段、分辨率高等优点,文章提出了基于FPGA芯片设计DDS系统的方案。该方案利用Xilinx公司的Vivado2016.4开发软件利用Verilog编程,完成DDS核心部分的设计,包括相位累加器以及ROM表的生成和初始化文件,并且通过改变频率控制字来控制输出正弦波的频率,然后把生成的数字正弦信号通过ADI公司的AD9751 DAC转换为模拟量。最后完成每个模块与系统的时序仿真,验证设计的正确性。

关键词:FPGA;直接频率合成;Verilog;正弦波;DAC

1    实现原理

直接频率合成(Direct Digital Synthesizer,DDS)是一种把一系列数字信号通过数字模拟转换器(Digital to Analog Converter,DAC)转换为模拟信号的新型频率合成技术。其优点有频率切换时间短,频率分析率高,输出信号的频率和相位可以快速切换,输出相位连续,并且很容易地实现信号频率、相位和幅度的控制。在通信领域,DDS的应用越来越广泛。

本实验主要利用DDS技术实现频率可控的正弦波,整体实现流程如图1所示。

在该模块中,正弦波输出频为:

可以看出,通过改变频率控制字k来控制输出频率的大小。

当k=1时,△为频率分析率。

2    Matlab生成ROM初始化文件

正弦函数模块包含一个周期正弦波的数字幅度信息,每个地址对应正弦波中0~2π范围的一个相位点。查表模块把输入的地址相位信息映射成正弦波幅度的数字量信号。相位寄存器每经过2^N/K个时钟后回到初始状态,相应地正弦查询表经过一个循环回到初始位置,输出一个正弦波。

本设计采用Matlab生成1 024点正弦波数据,生成sin table.coe文件,作为只读存储器(Read Only Memory,ROM)的初始化文件。

3    FPGA实现

本设计采用Xilinx公司的ZYNQ-7000系列,主芯片为xc7z035ffg676-2,拥有丰富的时钟和串口资源,另外开发板外接ADI:亚德诺半导体技术有限的AD9751 DAC模块,其转换速率高达250 MSaps,因此,非常适合本设计的要求[1-2]。

本设计应用Verilog实现,其顶层文件主要包括相位累加器和DDS查找表两个模块,在DDS查找表的实现过程中,需要在VIVADO里建立ROM IP核,并且把Matlab生成的sin_table.coe文件加载到ROM IP核作为初始化文件。然后编写Testbench仿真文件[3],编译成功后利用软件自带的Modelsim仿真,仿真成功后烧录到现场可编程逻辑门阵列(Field Programmable Gate Array,FPGA)开发板中。当步长k=12 950,42 950,92 950时,其仿真波形分别如图2(a)—(c)所示。

由此可以看出,在参考信号与加法器或寄存器的位数给定时,信号最终的输出频率主要由频率控制字k决定。故当频率控制字k变化时,输出频率也随其变化,从而可以实现调频的基本功能。

仿真测试功能完成后,根据开发板型号编写约束文件,然后综合和实现,生成比特流文件后烧录到开发板,在DAC输出端,用示波器可以观测到波形,如图3所示。

4    结语

本文主要提出利用DDS产生频率可控的正弦波的研究方法,同时,在FPGA上开发控制电路,为后续开发留下了空间,节省成本,操作简单,给实际工程提供了很大方便,DDS技术的应用前景非常广阔。

[参考文献]

[1]靳成一,肖蕾.基于FPGA的DDS设计与实现[J].电子技术与软件工程,2017(8):95.

[2]高琴,姜寿山,魏忠义.基于FPGA的DDS信号源设计与实现[J].西安工程大学学报,2006(2):210-214.

[3]刘文乐,尚明健.基于FPGA的DDS实验装置设计[J].科技风,2018(1):98.

Design and implementation of DDS sine wave based on FPGA

Li Yi, Yang Ziheng, Liu Shuang, Yang Peiyu

(Heilongjiang University, Harbin 150000, China)

Abstract:With its high speed data processing speed, FPGA has been widely used in the field of electronic communication and signal processing, and has become the first choice of communication instruments and equipment.In addition, due to the advantages of DDS frequency conversion period and high resolution, this paper puts forward the design scheme of DDS system based on FPGA chip.The scheme USES Xilinx company Vivado2016.4 development software use Verilog programming, to complete the DDS core part of the design, including the formation of phase accumulator and ROM table and the initialization file, and by changing the frequency control word to control the output sine wave frequency, then the digital sine signals generated by the ADI company AD9751 DAC converted to analog. At last, the time series simulation of each module and the system is completed to verify the correctness of the design.

Key words:Field Programmable Gate Array; Direct Digital Synthesizer; Verilog; Sine wave; Digital to Analog Converter

猜你喜欢

正弦波
单相正弦波变频电源设计与实现
采用BC5016S的纯正弦波逆变器设计及制作
基于FPGA的信号发生器在分频器检测上的应用
基于嵌入式技术的电网同步正弦波发生装置研究
文氏电桥正弦波振荡电路
正弦波激励下刚性开孔结构内压响应特性实验