APP下载

基于CPLD的步进电机控制器

2017-02-06马博强

数码世界 2017年1期
关键词:分配器脉冲单片机

马博强

辽宁锦州渤海大学工学院

基于CPLD的步进电机控制器

马博强

辽宁锦州渤海大学工学院

控制步进电机的转速与脉冲信号的频率有着直接联系,而且步进电机的转角是由脉冲信号的个数决定的。通常的步进控制系统中包括的模块有脉冲计数以及PWM模块,但是产生的脉冲数目受到了限制。本文针对CPLD进行了步进电机控制器设计,并且给出了仿真波形图。

步进电机控制器 CPLD 仿真

1 引言

步进电机控制器的主要作用是把电脉冲信号向角位移进行转变,电机中必须通过环形脉冲信号而且还需要功率驱动电路等。控制步进电机的运动,微处理器选择单片机。通过集成电路能够定位步进电机的位置以及控制步进电机的速度,会对脉冲信号的输出频率以及输出的脉冲的数目进行控制。

2 步进电机概述

步进电机驱动电源的框图如图1所示。将时钟信号通过变频信号源后就会形成脉冲信号,信号频率及步进电机的速度可以进行调整。接着形成的脉冲信号就会通过脉冲分配器,在脉冲分配器中会按照具体的规定将具有一定的逻辑关系的脉冲信号增加到脉冲放大器中,从而确保步进电机能够正常运行。

图1 步进电机总体框架图

计算机控制系统中的执行元件可以是步进电机,在控制系统中会应用到计数器,该器件的作用是增加变频信号源,其次还用应用到GAL芯片,通过该芯片能够对脉冲信号进行分配。

3 步进电机控制器控制原理

环形分配器主要是用来对脉冲序列进行分配,将信号传送到功率放大器中生成放大的信号,再将放大的信号通过步进电机驱动电源输入端。环形分配器可以分为两类:1)软环形分配器。这种环形分配器实现方法是计算机软件设计。2)硬环形分配器。本文设计所选择的环形分配器是软环形分配器。将环形分配器中产生输出信号通过功率放大器对信号进行放大,从而起到驱动四相步进电机的作用,本论文的功率放大器所选择的型号是L298芯片。

4 CPLD步进电机控制器设计

单片机与CPLD的I/O端口进行连接是通过数据总线实现的,其中数据线规定为8位,3位地址线是能够对8个字节的寄存器进行访问。晶体振荡器的作用是产生时钟信号。单片机与CPLD连接图如图2所示。

图2 单片机与CPLD连接图

4.1 硬件设计

将CPLD的输出控制信号分别与四个端口相互连接。当CPLD的控制信号在通过光电隔离之后会进入ULN2003A芯片中。如果CPLD的I/O端口的电平为低电平的时候,步进电机的负极就不再和地导通,在正负极之间不存在电压差,从而CPLD的电机就不会运转;如果CPLD的I/O口的电平是高电平的时候,步进电机的负极就会和地导通,而在正极和负极之间就会有12V的电压差产生,从而电机才可以正常运转。本文设计的步进电机控制的硬件分频的实现是通过双四位二进制计数器实现的,计数器的型号选择的是74LS393N。其中频率源的频率是2048Hz,串联计数器中的T触发器,从而可以将频率为2048Hz的实现分频,得到的频率的等比倍率等于2,通过硬件选择器能够将所得到的频率传送到CPLD的I/O端口中。步进电机控制器总电路图如图3所示。

图3 步进电机控制器总电路图

本文采用的电路是单电压恒流功放电路,如图4。

图4 电压恒流功放电路

4.2 软件设计

本文软件设计以及仿真实现所选择的工具是QuartusII。QuartusII支持VHDL的硬件描述语言。软件延时流程图如图5所示。

图5 程序延时流程图

电机控制器中的控制脉冲信号主要有复位(reset),模式(mode)以及使能(EN)和转向(CTRL)组成,通过T触发器锁定信号的电平。其中用Q表示四个相位的输出信号,Q所对应的3,2,1,0位用A,B,C,D表示。选择八拍通电的顺序,即为A-AB-B-BC-C-CD-DA-A,状态转换顺序如表1所示。

表1 状态转换顺序

5 仿真结果

系统仿真波形图如图6所示。图6中的clk信号表示12M的时钟信号,clk_step表示的是步进电机转速时钟信号。其中的A、B、C、D表示的是步进电机四相线圈通电信号。

结语:步进电机不可以和交直流电源直接进行连接,如果要进行连接必须通过专用的设备。本文主要实现了基于CPLD的步进电机控制器。进行了硬件设计以及软件设计。设计部分给出了电路图以及程序图。

[1]李超彪,张赤斌,王兴松,等.基于CPLD的步进电机细分复合控制器[J].中国机械工程, 2005, 16(18):1647-1650

[2]刘鹏,孙有峰,邹杰,等.基于ARM+CPLD的步进电机控制系统设计[J].自动化与信息工程, 2011, 32(2):33-35

[3]梅阳凤,李军.基于单片机和CPLD的多轴步进电机控制系统设计[J]. 电脑开发与应用, 2011,24(2):55-57

[4]乐创自动化技术有限公司.基于CPLD的混合式步进电机驱动器设计[J]. 自动化信息, 2007(9):44-45

图6 仿真波形图

猜你喜欢

分配器脉冲单片机
基于DEM-CFD耦合的气力式播种机分配器数值模拟与试验*
脉冲工况氧气缓冲罐裂纹修复实践
ETC推出ArcSystem Navis、F-Drive及Response DMX分配器
基于单片机的SPWM控制逆变器的设计与实现
基于单片机的层次渐变暖灯的研究
基于单片机的多功能智能插排
基于单片机的便捷式LCF测量仪
小型化Ka波段65W脉冲功放模块
悬臂分配器
超音频复合脉冲GMAW电源设计