APP下载

采用FPGA的高频脉冲测量仪设计

2018-03-06王妍

科技资讯 2018年29期

王妍

摘 要:基于FPGA采用脉冲信号参数测试方法,可实现脉冲信号在幅度、频率、占空比、上升时间等参数的测量。利用该系统高速度、高精度的运算能力,实现脉冲信号参数的高速精密采样。并和单片机联络互通交换,提高了事后数据收集整理运算水平;可大大缩短检测时间,提高测量精度。样机实验证明,本测试系统可靠性好、精度高、误差率低, 满足设计要求。

关键词:FPGA 精密测试 脉冲信号

中图分类号: 文献标识码:A 文章编号:1672-3791(2018)10(b)-0018-02

伴随时代发展、电子科技对于脉冲信号依赖强度不断增大,其测量的精度与速度的需要程度也不断加大,特别对于无线电探测等设备的细微的脉冲信号的测量要求存在诸多新要求[1-4],现有脉冲信号测量方法只是基于传统示波器针对脉冲信号检测试验,测量结果参数难以满足全面、精密测量的需求。基于以上状况,采集脉冲信号参数研发较高精准程度的检测仪器有着重要的意义。设计思路主要是以STM32单片机(增强型)为重要支撑,利用该单片机的高性能计算能力,获取脉冲波动信号的幅度、占空比、额定频率、上升时间等参数,实现高速度、高准度的检测和计量,样机的测试数据达到了设计目的。

1 系统硬件设计

研发仪器的主要组成是:频率和幅值调理信号的电源电路、微型单片计算机、上升时间信号和占空比信号调理的电源电路、FPGA、显示器和脉冲信号发生器,如图1所示。

利用FPGA核心的数百兆赫兹的频率获取对脉冲信号频率、幅值、上升时间、占空比等数据的高速采集,并借助与单片机的高速联网互通信号传递,采用FPGA获取信息输入到单片机中去,之后将采集的信息数据整理筛选合成,再将测量到的结果显示在显示器上。

由于单片机使用是MSP430,其受25MHz的晶体指令然后驱动,指令周期可窄达40ns,这样就可以实现数据的高速处理。

占空比和频率调理电源电路(如图2)实际使用TLV3501高强速度对比,主要原理是接收收集波动的脉冲信号,整理成型0~3.3V区间的方波信号,输入FPGA之后,然后在闸门时间内,针对标准时钟信号和被测信号读取处理、检测计量。再依据获取的两个计数值,与已知的标准信号的频率对比,就可以计算出所需求频率数值。

为了增加该测试仪敏锐程度和频率的首尾两端的极值区间。这样就可以计算出所需测试信号的宽度,即我们假设将闸门时间t假定为1s,并规定由为FPGA提供1MHz的标准时钟信号,利用测量占空比所需测试信号的高低电平的高频时钟脉冲,和高低电平的數值对比即可以得出结论。

利用单片机输出的区间在“10%~90%”范围的计数数值,通过D/A转换后,尝试接入TLV3501高速对比,认定为额定的阀值电压。将额定的阀值电压与测试的信号电压对比,获取两路方波信号源,整理成形再次输入FPGA中。根据所需时间、均匀分隔得出数值,即能获取到上升时间,如图3所示。

依据图4首先把FPGA分频后的矩形脉冲信号(幅度为3.3V)输入TLV3501,就可以取得矩形脉冲信号(幅度为5V),经过THS3091计算、加强集成运算增强放大器对信号的整理和,最后加上50Ω负载,就能获取到比较准确的矩形脉冲信号。该标准信号的频率fo是1MHZ,脉宽值tw是100ns,幅度值约在(5±0.1)V左右,上升的时间段应该小于等于30ns。

2 针对脉冲参数的测量

2.1 频率的检测计量

倘若用等精度测量方法[6]测量频率。需首先设置一个预置闸门,同步计算系统内的时钟和寄存器的闸门数值。指令启动真实闸门的一段时间,被测信号和标准信号由计数器来完成。假设标准信号计数值是N0,标准信号的频率是 ,被测信号的计数值是N,那么所需的频率可以计算出来:

(1)

2.2 幅值的计算测量

脉冲信号幅度值的检测可直接使用单片机来完成(见图1),单片机直接输入需测试信号,经采集后由A/D作为转换,取得幅值参数。在采样时,如果采样结果比某个阀值低,可以认为是低电平而直接舍去,再把其余的获取数据输入单片机内存储,最后通过使用冒泡排序方法算出其数列的中间数,这就是脉冲信号的最终幅值结果。

2.3 上升时间的测量

上升时间的测量方法,其实是方波高电平的持续时间与上升时间之间的换转,还是先通过单片机分别测试在10%和90%之间输出两个幅值,通过D/A转换后,再输入TLV3501进行高速对比,得到电压值的两个阀值数据。

然后将阀值的电压值与需测信号的电压对比分析,就可以得到如方波A、方波B的2路方波信号,再导入FPGA。当A方波被捕捉到上升沿的时候,计数器拉升到1;当B方波被捕捉到上升沿的时候,计数器拉低到0,如此即可得到C方波,然后测量其占空比和周期,就可以得到该波形之高电平宽度。

2.4 占空比的检测与计量

打开真实闸门的时段时,由FPGA对被测信号进行检测。若检测到的信号是高电平,由计数器A计数;如果信号是低电平,由计数器B开始计数。假设计数器A的计数值是NH、计数器B的计数值是NL。那么占空比 的计算公式是:

(2)

为减小占空比测量误差,应该考虑增强时钟信号的启动频率,另外如果只采集一个周期,则检测结果可能紊乱,会产生不确定性,所以实践中,应该多次检测若干个周期,然后取其平均数尽可能缩小误差。

3 实验测试与结论

如表1所示,是对仪器进行性能测试的测试数据,涉及频率、幅值、上升时间和占空比等参数。由表可见,仪器能够测量脉冲信号的频率为5Hz~10MHz,误差值小于0.01%;幅值为0.1V~10V,误差值小于0.1%;脉冲上升时间为100~900ns,误差值小于1%;占空比为1%~90%,误差值小于0.1%。

由此可见,该测试仪可比较好地完成10Hz~108MHz相关数据的测量,而且其最高测试频率可高达10MHz,同时该仪器的精度高、误差率低,实验结果表明样机各方面性能满足符合设计需要。

参考文献

[1] 高晓阳,刘成忠.基于单片机的数字信号测试分析仪[J].甘肃农业大学学报,2006,41(2):95-97

[2] 徐文强,任勇峰,文丰.基于FPGA的高速脉冲信号源的设计与实现[J].微计算机信息,2007,23(2):251-252.

[3] 邓潇潇.基于VC的单发多收存储式声波测井数据读取及显示[J].仪器仪表用户,2011,23(11):13-15.

[4] 谢浪清.高速等精度频率测量的研究[J].中国科技信息,2006(15):304-306.

[5] 付永杰,王玉珍,才滢.高精度无间隙时频测技术的研究[J].电子测量与仪器学报,2009,23(1):70-75.

[6] 任勇峰,安荣,李圣昆.基于FPGA的雷达信号处理器测试台McBSP接口设计[J].计算机与现代化,2009,162(2):24-26.